Cadence-install

介绍

仅供学习
仅供学习
仅供学习

国庆假期回家的回家,出去旅游的旅游,身为宅男的咱应该干点啥吧!于是乎想借这个假期画画版图,咦!是个不错的消遣活动.EDA工具公司首推Cadence,Synopsys,Mentor.手头就数Cadence的资料全,就它啦.
本篇文章的内容是如何在linux上安装Cadence公司的软件.
参考eetop论坛上的一些帖子:
http://bbs.eetop.cn/viewthread.php?tid=457073&from=favorites
http://bbs.eetop.cn/viewthread.php?tid=452284&from=favorites

安装前准备

在安装前要进行一些前期工作.主要有:

一, 软件安装包
二, 安装程序Installscape
三, Patch
四, License
五, 启动脚本

安装包

对于Cadence公司的软件,可以在其官网上下载,至于如何下载,请移步上面介绍里的第一帖,之后按需下载,我下载的都是base版本的,组件分别是IC616,MMSIM131.

Installscape

移步介绍中的第二帖

Patch

移步介绍中的第二帖

License

移步介绍中的第二帖

启动脚本

移步介绍中的第二帖

开始安装

Step.1

将从官网上下载的压缩包进行解, 注意:解压一定要解压完全,我就因为没解压完全而在安装时耽误了很长时间,他不像有的压缩包解压一个剩余的部分也顺带解压了,必须一一解压,如MMSIM有5个压缩包,在该目录下应执行:

tar -xvf Base_MMSIM13.10.066_lnx86_1of5.tar
tar -xvf Base_MMSIM13.10.066_lnx86_2of5.tar
tar -xvf Base_MMSIM13.10.066_lnx86_3of5.tar
tar -xvf Base_MMSIM13.10.066_lnx86_4of5.tar
tar -xvf Base_MMSIM13.10.066_lnx86_5of5.tar 

Step.2

建立安装文件夹,我的是$HOME/Programs/Cadence
再在Cadence目录下建立一个installs用于安装各个组件.

Step.3

将下载下来的安装程序Installscape解压,放到Cadence目录下,现在Cadence目录下有两个文件夹:installs和installscape.(注意:如果涉及到权限问题自行思考)
接下来进入installscape/iscape/bin目录,执行:

./iscape.sh

进入安装程序step1
选择安装包
step2
安装预览
step3
选择安装路径,其它组件操作相同.

Step.4

退出安装程序.

打补丁

将下载下来的Patch压缩包解压,copy至Cadence目录下(现在Cadence目录下有installs,installscape,cadence_patch三个文件夹).进入cadence_patch(首先查看readme文件),然后对安装的各个组件进行patch.如:

./cadence_patch.sh $HOME/Programs/Cadence/installs/IC616
./cadence_patch.sh $HOME/Programs/Cadence/installs/MMSIM131

后面跟的是你各个组件的安装路径(如果出现错误有可能是权限问题).每次可能都要一段时间,耐心等待.

License

有的教程是将license的路径添加进环境变量,但我没成功,索性直接将license复制到对应的路径里,我的是:

$HOME/Programs/Cadence/installs/IC616/share/license
$HOME/Programs/Cadence/installs/MMSIM131/share/license

#启动脚本
将下载下来的shell script压缩包解压,然后用编辑器打开,将里面的路径改为自己的安装路径,如我的:

export CADHOME=$HOME/Programs/Cadence/installs

但是,运行过程可能会出错,因为它包含了Mentor的Calibra那一部分,出错时它会报出第几行,将对应的行删除就是了.它只是个启动脚本,对系统本身的环境变量,没用任何影响,也可以放在任何目录下运行.

测试

下面看看成果吧!
step4

Summary

对于一些EDA工具的安装一般来说都比较麻烦(对于个体使用来说,你懂的),在安装前要多逛论坛,多提问,做足了前期准备,不至于装了一半装不下去了,就放弃,浪费时间和精力.小兴奋有没有!